Categories: HardwareSoftware

TSMC: Performance and Yields of 2nm on Track, Mass Production To Start In 2025

Author: AnandTech

In addition to revealing its roadmap and plans concerning its current leading-edge process technologies, TSMC also shared progress of its N2 node as part of its Symposiums 2024. The company’s first 2nm-class fabrication node, and predominantly featuring gate-all-around transistors, according to TSMC N2 has almost achieved its target performance and yield goals, which places it on track to enter high-volume manufacturing in the second half of 2025.

TSMC states that ‘N2 development is well on track and N2P is next.’ In particular, gate-all-around nanosheet devices currently achieve over 90% of their expected performance, whereas yields of 256 Mb SRAM (32 MB) devices already exceeds 80%, depending on the batch. All of this for a node that is over a year away from mass production.

Meanwhile, average yield of a 256 Mb SRAM was around 70% as of March, 2024, up from around 35% in April, 2023. Device performance has also been improving with higher frequencies being achieved while keeping power consumption in check.

Chip designer interest towards TSMC’s first 2nm-class gate-all-around nanosheet transistor-based technology is significant, too. The number of new tape-outs (NTOs) in the first year of N2 is over two-times higher than it was for N5. Though with that said, given TSMC’s close working relationship with a handful of high-volume vendors – most notably Appe – NTOs can be a very misleading figure since the first year of a new node at TSMC is capacity constrained, and consequently the bulk of that capacity goes to TSMC’s priority partners.

Meanwhile, there were considerably more N5 tapeouts in its second year (some where N5P, of course) and N2 promises to have 2.6X more NTOs in its second year. So the node indeed looks quite promising. In fact, based on TSMC’s slides (which we’re unfortunately not able to republish), N2 is more popular than N3 in terms of NTOs both in the first and the second years of existence.

When it comes to the second year of N2, in the second half of 2026 TSMC plans to roll out its N2P technology, which promises additional performance and power benefits. N2P is expected to improve frequency by 15% – 20%, reduce power consumption by 30% – 40%, and increase chip density by over 1.15 times compared to N3E, significant benefits to move to all-new GAA nanosheet transistors.

Finally, for those companies that need the best in performance, power, and density, TSMC is poised to offer their A16 process in 2026. That node will also bring in backside power delivery, which will add costs, but is expected to greatly improve performance efficiency and scaling.

admin

Recent Posts

The Lian Li Hydroshift LCD 360S AIO Cooler Review: Sleek, Stylish, and Lively

Author: AnandTech Among the packed field of PC hardware manufacturers, Lian Li is a company…

28 Giugno 2024

Questa BELLISSIMA tastiera meccanica è in DOPPIO SCONTO con coupon!

Author: Tom's Hardware Alla ricerca di una tastiera meccanica di alta qualità che unisca versatilità,…

28 Giugno 2024

Steam ha svelato il suo tool integrato per la registrazione del gameplay: Beta già disponibile!

Author: GAMEmag Con un annuncio a sorpresa, Valve ha svelato uno strumento integrato di Steam…

28 Giugno 2024

Celebrating Pride month: How Diversity, Equity, and Inclusion drive success at Schneider Electric US Services

Author: Schneider Electric This audio was created using Microsoft Azure Speech Services Pride Month is…

28 Giugno 2024

La verità sui modelli usati per l’intelligenza artificiale: quali non sono open source

Author: IlSoftware Negli ultimi anni, nel settore delle soluzioni basate sull’intelligenza artificiale (IA) si è…

28 Giugno 2024

NASA OSIRIS-REx: trovati fosfati nei campioni dell’asteroide Bennu

Author: Hardware Upgrade La missione statunitense NASA OSIRIS-REx ha riportato sulla Terra 121,6 grammi di…

28 Giugno 2024