Categorie
HardwareSoftware

Litografia EUV, qualche aggiornamento da ASML

ASML, il principale produttore mondiale di sistemi scanner per la litografia destinati al settore della produzione di semiconduttori, ha annunciato di aver ricevuto ordinativi per quattro nuovi sistemi EUV (Extreme UltraViolet) nello scorso trimestre e ha anticipato la vendita di una dozzina di sistemi EUV per il prossimo anno.

Si tratta di un aggiornamento importante per quanto riguarda la litografia EUV poiché alimenta positivamente le aspettative di poter avere gli scanner pronti per la produzione in volumi nel 2020, probabilmente per i chip a 5 nanometri, suffragando inoltre quanto annunciato dalla taiwanese TSMC nei giorni scorsi. Vi è comunque una certa cautela nel settore, dal momento che i sistemi utilizzati per stampare pattern fino a 13nm potrebbero richiedere un aggiornamento ottico oneroso per poter operare al nodo dei 5 nanometri e oltre.

Secondo una portavoce di ASML la litografia EUV verrà dapprima utilizzata per la realizzazione di due o tre strati nei chip di memoria prodotti attorno ai 10nm e per 6-9 strati nei chip più avanzati al processo a 7 o 5 nanometri. La società ha inoltre fatto sapere di poter raddoppiare nel 2018 la capacità di produzione fino a 24 sistemi, ciascuno dei quali ha un costo di circa 100 milioni di dollari. Attualmente sono stati installati dai produttori di chip 8 dei più recenti sistemi EUV a scopo di test.

Se, come detto, TSMC ha pianificato l’adozione di EUV a partire dai 5 nanometri, Intel potrebbe scegliere una differente tabella di marcia in virtù della decisione di ritardare il nodo a 10 nanometri, la cui produzione in volum prenderà il via nel corso del prossimo autunno. La società di Santa Clara potrebbe usare la litografia all’ultravioletto estremo per il nodo a 7 nanometri, verosimilmente nello stesso periodo in cui TSMC lavorerà ai 5nm. La litografia EUV rappresenterà la strada per eliminare la necessità di dover adottare le tecniche di triple-patterning e quad-patterning per i nodi dai 10 nanometri in poi, più costose e difficili da impiegare.

I più recenti sistemi EUV non sono comunque ancora pronti per poter affrontare la produzione in volumi, dove è necessaria una fonte di luce a 250W per poter ottenere un volume di stampa di 125 wafer all’ora. I sistemi già installati fanno uso di una fonte di luce a 125W che permette la stampa di 85 wafer all’ora e ASML ha già sperimentato nei propri laboratori una versione a 210W. La società sta inoltre lavorando per poter assicurare un’operatività del 90% per i sistemi EUV complessi: fino ad ora i migliori risultati si assestano su un uptime superiore all’80% per un periodo di quattro settimane.

Autore: Le news di Hardware Upgrade

Lascia un commento

Il tuo indirizzo email non sarà pubblicato. I campi obbligatori sono contrassegnati *

Questo sito usa Akismet per ridurre lo spam. Scopri come i tuoi dati vengono elaborati.